VHDL Breve introducción.

Slides:



Advertisements
Presentaciones similares
Lenguajes de Descripción de Hardware
Advertisements

Equipo #3 Unidad III: ALU Efraín Corral Eduardo Castillo Elías Alarcón
Métodos de diseño en VHDL.
INGENIERÍA DE SOFTWARE Introducción Arquitectura de Software
Fundamentos de Diseño de Software INFT.1
Lenguaje Unificado de Modelado
SENTENCIAS SECUENCIALES
LENGUAJES DE DESCRIPCION DE HARDWARE (HDL)
Introducción a LAS Bases de Datos
Síntesis Lógica con HDL
Programación de sistemas reconfigurables
Aplicación de Patrones de diseño al diseño de Hardware
Vhdl para síntesis Alfredo Rosado Muñoz Universidad de Valencia Ingeniería Electrónica Diseño de Circuitos y Sistemas Electrónicos.
GENERACIONES DE LENGUAJES DE PROGRAMACIÓN
DESARROLLO DE SISTEMAS
Ingeniería del Software
Análisis Análisis y Síntesis Métodos de análisis: Tabla de verdad.
1. Algoritmo 2. Arquitectura 3. Implementación 4. Conclusiones  IMPLEMENTACIÓN VLSI DEL ALGORITMO CORDIC EN MODO VECTORIZACIÓN UTILIZANDO RADIX ALTO 
Introducción Diseño digital contemporáneo
SISTEMAS DE INFORMACION
CURSO: UNIDAD 4: LENGUAJES HDL
DISEÑO DETALLADO PROGRAMACIÓN DE SISTEMAS ISC 5° “A” ABILENNE CORTES CONTRERAS YANET DIAZ PEREZ VERONICA ROMERO ZAMORA YENI HERNANDEZ HERNANDEZ CRISTIAN.
VHDL.
Ma. Antonieta Rodríguez CED-CELE. Contenido  eXe - Learning  Recursos didácticos para ambientes web.
HERRAMIENTAS CAD Jorge Felipe Blanco Medina Rafael Duran Oscar Pizza.
Interfaces de entrada / salida
GESTION DE LA JERARQUIA
Universidad Autónoma de Nuevo León Facultad de Ingeniería Mecánica y Eléctrica Abril 2013 Sistemas Digitales Electrónica Digital I Diseño Combinacional.
Capítulo.2 - Fundamentos del Lenguaje VHDL
Ingeniería de Software
DSL Domain Specific Language (Lenguaje Especifico del Dominio)
El Ciclo de Vida del Proyecto
Como Desarrollar SW Distribuido de Calidad
Fundamentos de programación
Contenido: 1- Que es el .Net Framework 2- Arquitectura en .Net
Others, soporte para síntesis. Los agregados tienen la habilidad de usar la sentencia others, la cual asignará un valor a todos los otros elementos de.
Diseño lógico combinacional mediante VHDL
Comunicación y Multimedia
Universidad Autónoma de Nuevo León Facultad de Ingeniería Mecánica y Eléctrica Marzo de 2011 Sistemas Digitales Electrónica Digital I Diseño Combinacional.
Herramientas del Análisis Estructurado
CONCEPTOS BÁSICOS Diseño de Sistemas.
Introducción Electrónica Digital
UML 2.0 Integrantes: Diana Carolina Valencia M. Jhon Fernando Lopez T. Carlos Alberto Castillo.
Diseño Lógico Combinacional con VHDL
Maestría en Ingeniería Electrónica
Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones.
Sámec Verott; Diego de la Rosa. ¿QUE ES UN CPLD? Es un dispositivo electrónico correspondiente al grupo de dispositivos de lógica programada. Lógica programada..?
Importancia en la efectividad del:
Diseño de Software y su Proceso
Universidad Tecnológica del Centro Programa de la Asignatura ARQUITECTURA DEL COMPUTADOR Universidad Tecnológica del Centro Programa de la Asignatura ARQUITECTURA.
Diseño de Combinacionales. Diseño Combinacional El diseño consiste en crear un sistema que cumpla con unos requerimientos establecidos, siempre tratando.
Diseño de Sistemas.
ALGORITMOS PRESENTADO POR: FERNANDA LEURO TATIANA CONTRERAS 1004
Introducción a UML Departamento de Informática Universidad de Rancagua
Ingeniería de Requisitos
ARQUITECTURA DE COMPUTADORES Semestre A-2009 Clase 08.
Actividades en el Proceso de desarrollo de Software
Universidad Autónoma de Nuevo León Facultad de Ingeniería Mecánica y Eléctrica Marzo de 2011 Sistemas Digitales Electrónica Digital I Diseño Combinacional.
3. Paradigmas de la ingeniería de software.
Diseño Lógico 2 Metodología de Diseño
PRESENTACION DE INGENIERIA ORIENTADA A OBJETOS
Verificación y Validación del Software
NCO (Numerically Controlled Oscillator). NCO: Oscilador controlado numéricamente Aplicaciones Conversores digitales up/down PLLs digitales Sistemas de.
1 LENGUAJE DE DESCRIPCION DE HARDWARE ELECTRONICA DIGITAL NAYIBE CHIO CHO NAYIBE CHIO CHO.
Tecnologías y Arquitecturas de SE
U.M.S.A. ETN-601 Sistemas Digitales Decodificador 3 a 8 Aux. David Marza Herrera.
CONSIDERACIONES DE RUIDO EN SISTEMAS PCM ING. JAVIER GARCIA.
Hardware Description Language
Programación en VHDL.
Transcripción de la presentación:

VHDL Breve introducción

¿Qué es VHDL? Es un lenguaje formal de diseño basado en texto que permite describir la especificación (tanto estructura como comportamiento) de un sistema digital a alto nivel. Sus siglas provienen de Very high speed Hardware Description (o design para algunos autores) Languaje: Lenguaje de alto nivel para descripción de hardware (IC digitales) de alta velocidad. Derivado de las iniciativas VHSIC y HDL. Desarrollado por IEEE. Otros: Verilog y ABEL (Advanced Boolean Equation Language)

Potencialidades Objetivo original era poder describir y simular circuitos integrados de muy alta velocidad previo a su fabricación (VHSIC y HDL) Permite describir de manera formal un sistema digital a través de una notación (“programar el circuito”). A partir de esta descripción formal de alto nivel, un software puede determinar los circuitos digitales que implemente la funcionalidad del sistema descrito: Se pueden obtener los esquemas, las tablas de verdad, mapas de Karnaugh, etc. Se puede implementar todo el sistema empleando lógica programable, CPLDs y FPGAs

Características y ventajas Sirve como herramienta de diseño lógico, posibilitando la documentación de los proyectos y su reutilización. Sirve como herramienta de especificación de proyectos. Permite generar proyectos con estructura del tipo jerárquica. Posibilita modelar el concepto de tiempo. Permite describir módulos con acciones que serán evaluadas luego en forma secuencial. Permite parametrización de componentes y portabilidad de los diseños independientes de la tecnología. Permite implementación de test-bench para simulación de diseños.

Metodología Se describen las operaciones de un sistema hardware empleando las siguientes acciones posibles: Indicar QUE debe hacer modelando por “comportamiento” (behavior). Indicar COMO debe funcionar utilizando “algoritmos”. Indicar CON QUE hacerlo empleando “estructuras” o “flujo de datos”.

Niveles de abstracción de diseño Funcional (Behavioral) Algorítmico De flujo de datos Estructural Físico

Proceso de diseño Sumador completo:

Proceso de diseño Definimos su archivo hdl: ENTITY full_adder IS PORT (a, b, cin: IN BIT; s, cout: OUT BIT); END full_adder; ARCHITECTURE dataflow OF full_adder IS BEGIN s <= a XOR b XOR cin; cout <= (a AND b) OR (a AND cin) OR (b AND cin); END dataflow;

Programación (place and route) del Dispositivo Proceso de diseño Del hdl se realiza la síntesis y programación del dispositivo en lógica programable (FPGA o CPLD, por ejemplo) o se da a construir como IC: ENTITY full_adder IS PORT (a, b, cin: IN BIT; s, cout: OUT BIT); END full_adder; ARCHITECTURE dataflow OF full_adder IS BEGIN s <= a XOR b XOR cin; cout <= (a AND b) OR (a AND cin) OR (b AND cin); END dataflow; Síntesis Compilación Programación (place and route) del Dispositivo Hardware Compilación Optimización

Estructura del archivo Diferentes estilos según el nivel de abstracción Funcional o Estructural. Conformado por Unidades o estructuras: LIBRARY, PACKAGE, ENTITY y ARCHITECTURE. Al menos Entity y Architecture son requeridas. LIBRARY: Contiene la lista de todas las bibliotecas a ser usadas en el diseño. Por ejemplo:ieee, std, work, etc. ENTITY : Describe las entradas y salidas (pines) del circuito (Estructura). ARCHITECTURE : Contiene el código VHDL propiamente, define el comportamiento o funcionalidad del circuito. PACKAGE: Es una forma para almacenar y usar información útil que describe a un modelo (relacionada con library)

Estructura del archivo Entity NAME_OF_ENTITY is [ generic generic_declarations);]      port (signal_names: mode type;                           :             signal_names: mode type); end [NAME_OF_ENTITY] ; Architecture NAME_OF_ARCHITECTURE of full_adder IS BEGIN s <= a XOR b XOR cin; cout <= (a AND b) OR (a AND cin) OR (b AND cin); END dataflow;

Ejemplo de archivo VHDL

Ejemplo de archivo VHDL ENTITY full_adder IS PORT (a, b, cin: IN BIT; s, cout: OUT BIT); END full_adder; ARCHITECTURE dataflow OF full_adder IS BEGIN s <= a XOR b XOR cin; cout <= (a AND b) OR (a AND cin) OR (b AND cin); END dataflow;

Opciones para la asignación Usar el ISE WebPACK de Xilinx Software muy potente y completo Propietario Gran tamaño (5.9 GB) Usar el Hardware Simulator de NAND2TETRIS Software simplificado, con sintaxis un tanto diferente. Free Menor tamaño