La descarga está en progreso. Por favor, espere

La descarga está en progreso. Por favor, espere

Programación en VHDL.

Presentaciones similares


Presentación del tema: "Programación en VHDL."— Transcripción de la presentación:

1 Programación en VHDL

2

3

4

5

6

7

8

9 Directivas que nos informa los puertos que se han creado.
-- Biblioteca library IEEE; use IEEE.STD_LOGIC_1164.ALL; Directivas para la utilización del tipo de datos que se usan en el programa. -- Entidad entity led_on_off is Port ( led0 : out STD_LOGIC; led1 : out STD_LOGIC); end led_on_off; Directivas que nos informa los puertos que se han creado.

10 Tipo de descripción: behavioral – comportamiento
-- Arquitectura (ejecución de sentencias) architecture behavioral of led_on_off is Begin led0 <= ‘1’; -- led0 prendido led1 <= ‘1’; -- led1 prendido end behavioral; Tipo de descripción: behavioral – comportamiento structural – estructural functional – funcional dataflow – flujo de datos

11 architecture gate of mux is -- gate (concurrente)
Ejemplo. Multiplexor de 2 entradas architecture gate of mux is -- gate (concurrente) signal D, E: std_logic; Begin D <= A and S; E <= (not S) and B; Z <= D or E; end gate;


Descargar ppt "Programación en VHDL."

Presentaciones similares


Anuncios Google