Ing. Diego Barragán Guerrero Máquinas de estado con VHDL.

Slides:



Advertisements
Presentaciones similares
Tema 7: Análisis y diseño con registros
Advertisements

CIRCUITOS SECUENCIALES E.U.I.T. Informática de Gijón
DESCRIBIR LOS PRINCIPIOS DE LA LÓGICA SECUENCIAL
Sistemas Sincrónicos vs. Asincrónicos
UNIDAD VI: CIRCUITOS LÓGICOS SECUENCIALES
UNIDAD VI: CIRCUITOS LÓGICOS SECUENCIALES
Circuitos digitales secuenciales I: Resumen del contenido
Análisis Análisis y Síntesis Métodos de análisis: Tabla de verdad.
DESCRIBIR LOS PRINCIPIOS DE LA LÓGICA SECUENCIAL
Registros de Desplazamiento
Sistemas Secuenciales
 SON FUNCIONES LÓGICAS  REPRESENTADAS POR TABLAS DE VERDAD  SIMPLIFICABLES POR LÓGICA BOOLEANA  SIMPLIFICABLES POR KARNAUGH  APLICACIONES: funciones.
Registros y Contadores
EXAMEN CORTO SOBRE FLIP-FLOPS
Circuito divisor de Frecuencia x 2
INTRODUCCIÓN AL DISEÑO SECUENCIAL: CONTADORES Y REGISTROS
CIRCUITOS DIGITALES II: Análisis de Sistemas Secuenciales
SISTEMAS DIGITALES SECUENCIALES
Análisis de Sistemas Secuenciales
EXAMEN CORTO SOBRE FLIP-FLOPS
Diseño lógico combinacional mediante VHDL
Sistemas Secuenciales Asincrónicos
UNIDAD VI: CIRCUITOS LÓGICOS SECUENCIALES
UNIDAD VI: CIRCUITOS LÓGICOS SECUENCIALES
Ing. Diego Barragán Guerrero
Maestría en Ingeniería Electrónica
Integración de entidades en VHDL
Diseño lógico secuencial con VHDL
Sistemas Secuenciales Electrónica Digital
Organización del Computador 1
Contenido Los puntos 9.7 y 9.8 no se dan 9.1. Revisión del concepto de máquina secuencial. Taxonomía Temporización en los circuitos lógicos síncronos.
Oscar Ignacio Botero Henao
Alumno: Gerardo Mario Valdés Ortega
Diseño jerárquico con VHDL
Diseño de Sistemas Secuenciales Síncronos
 PRESENTADO POR : ANDRES MAYORGA DANIELA PAEZ VEGA.
Máquinas de estado con VHDL
Circuitos secuenciales 2
Arquitectura de Computadores IIC 2342 Semestre Rubén Mitnik Pontificia Universidad Católica de Chile Escuela de Ingeniería Departamento de Ciencia.
UNIDAD 3: SISTEMAS COMBINACIONALES Y SECUENCIALES UNIDAD 3: SISTEMAS COMBINACIONALES Y SECUENCIALES ING. GERARDO A. LEAL, MSC Unidad 3: Sistemas Combinacionales.
6.Componentes básicos de memorización Fundamentos de los Computadores Grado en Ingeniería Informática.
Organización del Computador 1 Lógica Digital 2 Circuitos y memorias.
FUNDAMENTOS DE MICROCONTROLADORES PIC 16F887 EXPONENTES: NOLBERTO LUNA GARCÍA JOSÉ GUADALUPE GARCÍA MACHADO.
El procesador Datapath y control. Universidad de SonoraArquitectura de Computadoras2 Introducción En esta parte del curso contiene: Las principales técnicas.
CONSIDERACIONES DE RUIDO EN SISTEMAS PCM ING. JAVIER GARCIA.
Microprocesador Estructura interna.
Circuitos combinacionales II
ADMINISTRACIÓN APLICADA
5. Análisis y diseño de sistemas secuenciales (I)
Diseño de Circuitos Lógicos Secuenciales
Diseño Secuencial El cambio es la única cosa en el universo que no cambia. Helmuth Wilhem Científico alemán.
ARQUITECTURA DE HARD WARE COMPONENTES EN BLOQUES DEL PROCESADOR
Registros y Contadores
Tema 2. Sistemas Secuenciales básicos
Hardware Description Language
FLIP - FLOPS.
CIRCUITOS SECUENCIALES
Diseño de Sistemas Secuenciales El cambio es la única cosa en el universo que no cambia. Helmuth Wilhem Científico alemán.
componentes 1 Decodificador 74S47 1 Contador Display ánodo común 7 Resistencias 500 ohmios ( R1-R7 ) 1 Resistencia de 330 ohmios ( R9 ) 2 Leds.
Sistemas Secuenciales Electrónica Digital Electrónica Básica José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC.
El procesador Datapath y control.
5.Análisis y diseño desistemas secuenciales (III) Fundamentos de los Computadores Grado en Ingeniería Informática.
1 ALU (Unidad aritmético lógica) Registros Unidad de control I/O y buses internos (usualmente 3 estados) I/O y buses internos Los buses I/O son de tres.
 SON FUNCIONES LÓGICAS  REPRESENTADAS POR TABLAS DE VERDAD  SIMPLIFICABLES POR LÓGICA BOOLEANA  SIMPLIFICABLES POR KARNAUGH  APLICACIONES: funciones.
CIRCUITOS COMBINACIONALES Y SECUENCIALES. COMBINACIONALES SON FUNCIONES LÓGICAS REPRESENTADAS POR TABLAS DE VERDAD SIMPLIFICABLES POR LÓGICA BOOLEANA.
Universidad Central F.C.F.M. Ingeniería Ejecución Informática Electrónica Digital1 3. Flips-flops, Registros y Contadores  Temario Cerrojos Secuenciamiento.
Sistemas Secuenciales Electrónica Digital. Combinacional: las salidas dependen de las entradas Secuencial: las salidas dependen de las entradas y de valores.
Técnicas Digitales. Análisis Temporal Juan Alarcón. 1.
Transcripción de la presentación:

Ing. Diego Barragán Guerrero Máquinas de estado con VHDL

Introducción La sección combinacional, tiene dos entradas: pr_state (estado presente) y la señal de entrada externa (input).Además posee dos salidas: nx_state (estado siguiente) y salida externa. La sección secuencial tiene tres entradas: clock, reset y nx_state y una salida: pr_state. Si la salida de la máquina depende no solo del estado presente sino también de la entrada actual, se denomina máquina de estado de Mealy. Si la máquina depende solo del estado actual, se denomina máquina de Moore.

Diseño de la sección inferior (secuencial) Los FF están en la sección inferior, de tal forma que el reloj y reset se conectan a ella. Siendo la sección inferior secuencial, es necesario un Proceso, en el cual se puede usar cualquier sentencia secuencial. Una plantilla típica de diseño de la sección inferior se muestra a continuación. Estilo de diseño 1 Reset asíncrono Registro síncrono

Diseño de la sección superior (Combinacional) El código contiene dos cosas: a) Asignación a la salida; b) Establecimiento de estado siguiente. Observar que no hay asignación a señal hecha en la transición de otra señal, de modo que no se infieren FF. Estilo de diseño 1

Estilo de diseño 1: Plantilla Tipo enumerado: contiene todos los estados. Sección secuencial. Sección Combinacional.

Un contador es un ejemplo de una máquina de Moore, ya que la salida depende solo del estado presente. Ejemplo 1: contador BCD.

Ejemplo 2: FSM simple.

D = 1 x = a D = 0

En el primer estilo de diseño, solo el estado presente (estado_pr) es almacenado. En este caso, si se usa una máquina de Mealy (la salida depende de la entrada actual), la salida cambia cuando la entrada cambia (salida asíncrona). En muchas aplicaciones, las señales deben ser síncronas, de modo que la salida debe actualizarse solo cuando existe un pulso de reloj. Para hacer una máquina de Mealy síncrona, la salida deber ser almacenada también. Estilo de diseño 2 El estilo de diseño 2, usa una señal adicional para contener el valor de la salida (en la sección superior), pero solo pasa este valor a la salida cuando un evento de reloj ocurre (sección inferior).

Estilo de diseño 2: Plantilla La asignación de la salida solo se ejecuta al pulso de reloj. El estilo de diseño 2, usa una señal adicional para contener el valor de la salida (en la sección superior), pero solo pasa este valor a la salida cuando un evento de reloj ocurre (sección inferior).

Estilo de diseño 2: FSM simple.

Cuando una señal es almacenada, su valor permanece estático entre dos flancos de reloj. Por lo tanto, si la entrada (a o b) cambia durante este intervalo, el cambio no se observará por el circuito. Estilo de diseño 2: FSM simple.

El circuito tiene como entrada una cadena serial de bits y su salida será 1 cuando la secuencia "111" ocurra. En caso de solapamiento, esto es, una secuencia suceda, la salida debe mantenerse activa por tres ciclos consecutivos de reloj. Ejemplo: Detector de secuencia.

Solapamiento, la salida sigue siendo 1.

Ejemplo: Detector de secuencia (TB). Notar que la salida no depende de la entrada actual. Todas las asignaciones a q son incondicionales (esto es, no dependen de d). Por lo tanto, la salida es automáticamente sincronizada. In: …111… Out: …001…

Ejemplo: controlador de semáforo. Se asume que la frecuencia de reloj es 60Hz (tomada de la misma fuente de poder).

Ejemplo: controlador de semáforo.

Para visualizar la salida de forma óptima, se ha modificado el valor de todas las contantes a 3, excepto el valor de timeTest, que vale 1. Se espera que el sistema cambie de estado cada tres ciclos de reloj cuando está en modo de operación regular, o cada ciclo de reloj si está en mode Test. Ejemplo: controlador de semáforo.

Se diseñará dos máquinas de estado, una que operará exclusivamente en la transición positiva del reloj y otra que operará exclusivamente en el flanco negativo. Esto generará la señal alternativa out 1 y out2. Estas señales serán tratadas con la operación and para obtener la señal deseada. Notar que el circuito no posee entradas externas (excepto la señal de reloj), de modo que la salida cambiará solo cuando la señal de reloj cambie (salida síncrona). Ejemplo: generador de funciones.

Referencias: Volnei A. Pedroni Circuit Design with VHDL. MIT Press, Cambridge, MA, USA. Maxinez, D.G., Alcalá, J VHDL: el arte de programar sistemas digitales. Compañía Editorial Continental.