NCO (Numerically Controlled Oscillator). NCO: Oscilador controlado numéricamente Aplicaciones Conversores digitales up/down PLLs digitales Sistemas de.

Slides:



Advertisements
Presentaciones similares
Equipo #3 Unidad III: ALU Efraín Corral Eduardo Castillo Elías Alarcón
Advertisements

Filtros de Capacidades Conmutadas
Tecnología Electrónica de Computadores
Métodos de diseño en VHDL.
Introducción a La Transmisión Digital y conceptos básicos
SENTENCIAS SECUENCIALES
Modulación de pulsos.
Conversores Digital-analógicos (DAC) Conversores ADC y DAC
Sistemas Operativos Funcionamiento general de una computadora bajo el control de un programa.
SISTEMAS DIGITALES INTRODUCCION
El procesador: la ruta de datos y el control (II Parte)
Teoría de lenguajes y compiladores
1. Algoritmo 2. Arquitectura 3. Implementación 4. Conclusiones  IMPLEMENTACIÓN VLSI DEL ALGORITMO CORDIC EN MODO VECTORIZACIÓN UTILIZANDO RADIX ALTO 
Conversión A/D Muestreo de la señal analógica.
Teleprocesos y Comunicación de Datos I POR: ING. YVAN GÓMEZ
Ecualizadores digitales
Circuitos Combinacionales Comunes
Microcomputadores Prof : Tatiana Marín R.. Sistema basado en microprocesador de 8 bits.
Ventajas de la señal digital Inconvenientes de la señal digital
Registros y Contadores
Interfaces de entrada / salida
Unidad 2: Organización del CPU
Interfases de Entrada / Salida
GESTION DE LA JERARQUIA
Sintetizadores de frecuencia
Universidad Autónoma de Nuevo León Facultad de Ingeniería Mecánica y Eléctrica Noviembre de 2009 Sistemas Digitales Electrónica Digital I Universidad Autónoma.
DSL Domain Specific Language (Lenguaje Especifico del Dominio)
Control Digital /Avanzado Señales Analógicas/Discretas
SISTEMAS DIGITALES SECUENCIALES
VHDL Breve introducción.
Others, soporte para síntesis. Los agregados tienen la habilidad de usar la sentencia others, la cual asignará un valor a todos los otros elementos de.
Diseño de Circuitos Electrónicos para Comunicaciones
Diseño lógico combinacional mediante VHDL
MICROCONTROLADORES NOCIONES BÁSICAS. ¿QUÉ ES UN MICROCONTROLADOR? MICROCONTROLADOR = MICROPROCESADOR + MEMORIA + PERIFERICOS.
Convertidores analógico-digitales
INTRODUCCIÓN A LAS TÉCNICAS DIGITALES
MICROCONTROLADORES NOCIONES BÁSICAS COMPUTO II. ¿QUÉ ES UN MICROCONTROLADOR? MICROCONTROLADOR = MICROPROCESADOR + MEMORIA + PERIFERICOS.
PREPARADURÍA N°1 SEGUNDO EXÁMEN
Introducción Electrónica Digital
Introducción a las Interfaces de entrada / salida.
Diseño Lógico Combinacional con VHDL
VHDL El nombre proviene de VHSIC Hardware Description Language, donde VHSIC significa Very High Speed Integrated Circuits. Es un lenguaje formal de especificación.
Proyecto de Sistemas Informáticos Curso Carlos Sánchez-Vellisco Sánchez Antonio José García Martínez David Fernández Máiquez.
“Organización y Arquitectura de Computadores” William Stallings
Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones.
Telecomunicaciones II
Integración de entidades en VHDL
Librerías y packages (paquetes)
Capítulo.3 - Diseño Lógico Combinacional con VHDL
DIPLOMADO EN SISTEMAS DIGITALES USO DE LOS PERIFERICOS DEL SISTEMA DE DESARROLLO SPARTAN 3E Instructor: MC. Rodrigo Lucio Maya Ramírez.
FPGA - Flujo de Diseño UBA - Sistemas Embebidos - FPGA – SoftCores – 2010 – Franco Ferrucci, Sebastián García.
Clase 3 Tecnología de la Comunicación II Lics. en Com. Social y Periodismo F AC. DE C S. H UMANAS. UNSL. Creación y edición de audios digitales.
Universidad Nacional de Ingeniería
NOCIONES BÁSICAS COMPUTO II
Maquinas Digitales UNIDADES DE CONTROL.
Estructuras de Decisión
BANCOS DE PRUEBAS CON VHDL (TESTBENCHES). Sistemas Digitales - FIUBA Bancos de prueba ¿Cómo realizar la prueba de un dispositivo descripto en VHDL? DUT.
CB303 Es un módulo de sonido analógico, en el que se ha intentado mantener el sonido duro y la estética en la serigrafía de la maravillosa máquina de los.
 PRESENTADO POR : ANDRES MAYORGA DANIELA PAEZ VEGA.
Máquinas de estado con VHDL
Circuitos Combinacionales I
Unidad de Control Ubicación: Es uno de los tres bloques funcionales principales en los que se divide una unidad central de procesamiento (CPU). Realizar.
1 LENGUAJE DE DESCRIPCION DE HARDWARE ELECTRONICA DIGITAL NAYIBE CHIO CHO NAYIBE CHIO CHO.
BANCOS DE PRUEBA CON VHDL (TESTBENCHES). Sistemas Digitales - FIUBA Bancos de prueba ¿Cómo realizar la prueba de un dispositivo descripto en VHDL? DUT.
Asignación de señales en VHDL. VHDL: Asignación de señales La asignación a una señal establece una o más transacciones sobre la misma Cada señal tiene.
U.M.S.A. ETN-601 Sistemas Digitales Decodificador 3 a 8 Aux. David Marza Herrera.
CONSIDERACIONES DE RUIDO EN SISTEMAS PCM ING. JAVIER GARCIA.
Hardware Description Language
Programación en VHDL.
(Numerically Controlled Oscillator)
Transcripción de la presentación:

NCO (Numerically Controlled Oscillator)

NCO: Oscilador controlado numéricamente Aplicaciones Conversores digitales up/down PLLs digitales Sistemas de radar Moduladores/Demoduladores Drivers para transmisiones ópticas o acústicas Software-defined radios (SDR) Es un sistema electrónico digital utilizado para sintetizar señales de frecuencias variadas, a partir de una base de tiempo Sistemas Digitales - UBA

Ventajas - Se elimina la necesidad del ajuste de los componentes analógicos, debido al paso del tiempo - La interfaz digital facilita el control del sistema de manera remota NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

Diagrama en bloques básico Registro de Control de Frecuencia Sumador (Módulo N) Registro de Fase Acumulador de fase Convertidor Fase-Amplitud RCF + RFCFA Lookup table NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

Funcionamiento - Se comienza con el Registro de Fase inicializado en cero - En el Registro de Control de Frecuencia se graba un número I - Con el primer ciclo de reloj el Registro de Fase toma el valor I - Con los siguientes ciclos de reloj el RF se incrementa en I, tomando los valores 2I, 3I, …, y así sucesivamente, hasta el desborde del contador. RCF + RFCFA NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

Funcionamiento - La salida del registro de Fase se utiliza para acceder a la memoria que contiene las muestras de la señal - El período de la señal de salida es igual al tiempo que le lleva al contador alcanzar su cuenta máxima. En este tiempo se tienen que obtener todas las muestras de la señal, guardadas en la memoria - De lo anterior se desprende que si el incremento de fase aumenta, el período de la señal de salida disminuye. Por Ej.: si el incremento es 1 se necesitan 2 N ciclos de reloj para que el contador desborde, y si es 2 se necesitan 2 N /2 NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

Funcionamiento Salida de la LUT Salida de la LUT Acumulador I1I1 I 2 = 2.I 1 NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

Funcionamiento - De lo anterior se desprende: (Período de la señal de salida) (Frecuencia de la señal de salida) - A su vez, la memoria posee las muestras de un ciclo de una señal senoidal. El salto de fase entre cada posición es: [Hz] N 2 I.Fclock Fo  [seg] I N 2.Tclock To  N   NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

Funcionamiento - El Registro de Fase es de N bits, lo que le permite acceder a cualquier dirección de la memoria. El valor a la entrada del Registro de Control de Frecuencia, que se suma al valor del Registro de Fase en cada ciclo de reloj representa un incremento de fase de: - Finalmente la resolución en frecuencia se obtiene para I=1: N 2.I360 Δ   [Hz] N 2 Fclock N Tclock.2 1 Δf  NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

Ejemplo de diseño 1. Cálculo del número de bits necesarios del acumulador N debe ser un número entero, por lo tanto: Fo (Frecuencia de salida) = 500 Hz f = Resolución en frecuencia <= 0,05 Hz Ts = Período de muestreo = 1/8000 seg N = 18 NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

2. Recálculo de la resolución en frecuencia Ejemplo de diseño Fo (Frecuencia de salida) = 500 Hz f = Resolución en frecuencia <= 0,05 Hz Ts = Período de muestreo = 1/8000 seg f = 0,0305 Hz NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

3. Cálculo del incremento de fase I = Fo (Frecuencia de salida) = 500 Hz f = Resolución en frecuencia <= 0,05 Hz Ts = Período de muestreo = 1/8000 seg Ejemplo de diseño NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

Arquitectura FFD + clk Incremento de fase  Lookup table (memoria) La LUT tiene 2 N entradas (siendo N el número de bits de las direcciones generadas por el acumulador) La precisión de los datos de salida de la LUT es independiente de N N cos sen 0 2 N -1 LUT NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

Arquitectura Lookup table (memoria) La LUT puede ser cargada con: - Todos los puntos de la senoide (ciclo completo) - La mitad de los puntos de la senoide (medio ciclo) - Un cuarto de los puntos de una senoide (cuarto ciclo) 0 2 N -1 LUT NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

Arquitectura FFD + Q() FFD Lookup table clk Incremento de fase  Trabajando con punto fijo NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

Código VHDL acum_fase sin_cos clk Incremento de fase  Estructura sin_o cos_o NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

entity Acum_Fase is generic( P: natural := 3;-- incremento Q: natural := 14;-- módulo N: natural := 4 -- cantidad de bits ); port( clk: in std_logic; acum_reg: out std_logic_vector(N-1 downto 0) ); end entity Acum_Fase; Ejemplo de implementación en VHDL y simulación NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

architecture BEH of Acum_Fase is signal mod_reg: unsigned(N-1 downto 0) := (others => '0'); signal rem_nc: unsigned(N-1 downto 0) := (others => '0'); signal term_add: unsigned(N-1 downto 0) := (others => '0'); begin rem_nc <= to_unsigned(Q,N) - mod_reg; term_add <= to_unsigned(P,N); Modular_Addition: process(clk) is begin if rising_edge(clk) then if (rem_nc<=to_unsigned(P,N)) then mod_reg <= to_unsigned(P,N) - rem_nc; else mod_reg <= mod_reg + term_add; end if; end process Modular_Addition; acum_reg <= std_logic_vector(mod_reg); end architecture BEH; Ejemplo de implementación en VHDL y simulación NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA

FIN NCO: Oscilador controlado numéricamente Sistemas Digitales - UBA