Diseño lógico combinacional mediante VHDL

Slides:



Advertisements
Presentaciones similares
Lenguajes de Descripción de Hardware
Advertisements

Equipo #3 Unidad III: ALU Efraín Corral Eduardo Castillo Elías Alarcón
DISEÑO DE TRANSFERENCIA ENTRE REGISTROS
Métodos de diseño en VHDL.
CIRCUITOS COMBINACIONALES
SENTENCIAS SECUENCIALES
Prof. Edgardo Vargas Moya
Sistemas Digitales Tema 3.
Circuitos Digitales II
Introducción al VHDL VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables.
Programación de sistemas reconfigurables
SISTEMAS DIGITALES INTRODUCCION
ALGORÍTMICA Dpto. Ingeniería de Sistemas y Automática
1. Algoritmo 2. Arquitectura 3. Implementación 4. Conclusiones  IMPLEMENTACIÓN VLSI DEL ALGORITMO CORDIC EN MODO VECTORIZACIÓN UTILIZANDO RADIX ALTO 
Algebra Booleana y Compuertas Lógicas
METODOLOGIA DE LA PROGRAMACION
CURSO: UNIDAD 4: LENGUAJES HDL
Circuitos Combinacionales Comunes
MÓDULO DE PROCEDIMIENTOS Los módulos también pueden contener subrutinas y funciones → MÓDULO DE PROCEDIMIENTOS  PROCEDIMIENTOS → son compilados como parte.
TRAMPAS EN EL DISEÑO DE LENGUAJES CON RELACIÓN A LOS NOMBRES - REGLAS DE ALCANCE - COMPILACIÓN POR SEPARADO CONTROL DE FLUJO - EVALUACIÓN DE EXPRESIONES.
VHDL.
Interfases de Entrada / Salida
Características de “C” Y Esta conformado por un Ambiente Integrado. Y Es un Lenguaje de Nivel medio. Y Es un compilador. YContiene un conjunto de palabras.
GESTION DE LA JERARQUIA
Capítulo.2 - Fundamentos del Lenguaje VHDL
Módulos combinacionales básicos
Tema 3: Lógica Combinacional (II): Ruta de Datos.
VHDL Breve introducción.
Others, soporte para síntesis. Los agregados tienen la habilidad de usar la sentencia others, la cual asignará un valor a todos los otros elementos de.
DECODIFICADOR -García Rosas Oscar -Landeros Jaime Francisco
Ing. Diego Barragán Guerrero
Introducción Electrónica Digital
Circuitos Digitales I MÓDULOS COMBINACIONALES
Tecnologías de las computadoras
Diseño Lógico Combinacional con VHDL
Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones.
Integración de entidades en VHDL
Circuitos Digitales MÓDULOS COMBINACIONALES
CLASE 2.
CLASE 5.
Capítulo.3 - Diseño Lógico Combinacional con VHDL
[ Arquitectura de Computadores ] SISTEMAS DIGITALES Präsentat ion Pontificia Universidad Católica de Chile Escuela de Ingeniería Departamento de Ciencia.
1 © UPM-ETSISI Tema 7.-Tecnología de las Estructuras y Subsistemas Lógicos Combinacionales © UPM-ETSISI Tema 7 Tecnología de las Estructuras y Subsistemas.
Universidad Tecnológica del Centro Programa de la Asignatura ARQUITECTURA DEL COMPUTADOR Universidad Tecnológica del Centro Programa de la Asignatura ARQUITECTURA.
Diseño lógico secuencial con VHDL
Términos algoritmo diseñar algoritmo implementar algoritmo
DIPLOMADO EN SISTEMAS DIGITALES USO DE LOS PERIFERICOS DEL SISTEMA DE DESARROLLO SPARTAN 3E Instructor: MC. Rodrigo Lucio Maya Ramírez.
Germán Landinez Álvaro Del Toro Ronald Gutiérrez.
LA ARQUITECTURA O LA FUNCIONALIDAD DEL SISTEMA DefiniciónDefiniciónDefinición Declaración y reglas sintácticas.Declaración y reglas sintácticas.Declaración.
CIRCUITOS NO COMBINACIONALES
Fundamentos de Programación
Lic. Carla Aguirre Montalvo
Diseño jerárquico con VHDL
Compuertas lógicas INTRODUCCION
BANCOS DE PRUEBAS CON VHDL (TESTBENCHES). Sistemas Digitales - FIUBA Bancos de prueba ¿Cómo realizar la prueba de un dispositivo descripto en VHDL? DUT.
UNIDAD 2. DISEÑO LÓGICO DE PROCESADORES: UNIDAD ARITMÉTICO-LÓGICA Ing. Elizabeth Guerrero V.
Subsistemas aritméticos y lógicos
Maestría en Ciencias de la Computación Arquitectura de Computadoras
 PRESENTADO POR : ANDRES MAYORGA DANIELA PAEZ VEGA.
Décimo Curso de Programación Básica con NQC “Segunda Clase” Cristián Arenas Ulloa Agosto 29 de 2009.
Máquinas de estado con VHDL
Circuitos Combinacionales I
NCO (Numerically Controlled Oscillator). NCO: Oscilador controlado numéricamente Aplicaciones Conversores digitales up/down PLLs digitales Sistemas de.
1 LENGUAJE DE DESCRIPCION DE HARDWARE ELECTRONICA DIGITAL NAYIBE CHIO CHO NAYIBE CHIO CHO.
U.M.S.A. ETN-601 Sistemas Digitales Decodificador 3 a 8 Aux. David Marza Herrera.
CONSIDERACIONES DE RUIDO EN SISTEMAS PCM ING. JAVIER GARCIA.
En VHDL existen tres tipos de declaraciones concurrentes;
Hardware Description Language
Programación en VHDL.
Ing. Diego Barragán Guerrero Máquinas de estado con VHDL.
Transcripción de la presentación:

Diseño lógico combinacional mediante VHDL Ing. Diego Barragán Guerrero http://www.decom.fee.unicamp.br/~diego/

Introducción La lógica combinacional es probablemente la más fácil de diseñar. En cada momento las salidas de un circuito combinacional sólo dependen del estado actual de sus entradas. El circuito no tiene memoria.

Programación con declaraciones concurrentes Declaraciones concurrentes: fuera de la declaración de un proceso. Usadas en arquitectura de flujo de datos y estructural. Tipos: Declaraciones condicionales asignadas a una señal WHEN – ELSE Declaraciones concurrentes asignadas a señales. Selección de una señal: WITH – SELECT - END

Declaraciones condicionales asignadas a una señal WHEN – ELSE Un circuito multiplexor acepta N entradas y presenta una salida en la que aparecerá el valor lógico asociado a una de estas entradas. La selección de cuál de esas entradas es la que aparece en la salida se determina por un conjunto de M señales de control, cumpliéndose que N = 2^M. La salida presentará aquella entrada cuyo índice concuerda con el número codificado en binario en las líneas de control (o selección).

Usando operadores

Operadores lógicos 1 Expresiones entre paréntesis. 2 Complementos 3 AND, OR, NAND, XORD, XNORD, NOT. Válidos para comparar palabras del mismo número de bits. Prioridad: 1 Expresiones entre paréntesis. 2 Complementos 3 Función AND 4 Función OR

Operadores de asignación Usando para asignar valores a señales, variables y constantes: Op Descripción <= Asignar valores a una SEÑAL. := Asignar valores a una VARIABLE, CONSTANTE, GENERICO. Establece valores iniciales. => Asignar valores a los elementos de un vector individual o con WITH OTHERS.

Operadores de asignación Declaración de señales y variables: Asignaciones legales:

Usando operadores Código VHDL 1 Library ieee; F 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 Código VHDL 1 Library ieee; 2 USE ieee.std_logic_1164.all; 3 Entity funcion is port( 4 D,C,B,A: IN std_logic; 5 F: OUT std_logic); 6 End funcion; Architecture a_func of funcion is begin F<= ‘1’ when (A=‘0’ and B=‘0’ and C=‘1’ and D=‘0’) else 10 ‘1’ when (A=‘1’ and B=‘0’ and C=‘1’ and D=‘0’) else 11 ‘1’ when (A=‘0’ and B=‘1’ and C=‘1’ and D=‘0’) else 12 ‘1’ when (A=‘1’ and B=‘1’ and C=‘1’ and D=‘0’) else 13 ‘0’; 14 end a_func;

Declaraciones concurrentes asignadas a señales Función de salida en base a ecuaciones booleanas.

Declaraciones concurrentes asignadas a señales: multiplexor.

Declaraciones concurrentes asignadas a señales: multiplexor

Selección de una señal: with-select-when Whith-select-else se utiliza para asignar un valor a una señal con base en el valor de otra señal previamente seleccionada.

Selección de una señal: with-select-when El selector puede ser entero.

Estructuras básicas con declaraciones secuenciales Declaraciones secuenciales: son aquellas en las que el orden que llevan pueden tener un efecto significativo en la lógica descrita. ¡Forman parte de un PROCESO! Un proceso en un sección secuencial de VHDL. Contiene IF, WAIT, CASE y LOOPs y una lista sensitiva. Sintaxis:

Reglas de procesos Si una señal es leída en el interior de un proceso (aparece en el lado derecho de una sentencia de asignación o en una condición), debe aparecer en su lista de sensibilidad. Si a una señal se le asigna un valor de forma condicional (con sentencias if o case) debemos asegurar que no existen condiciones para las cuales el valor de la señal no se ha definido en el código. En VHDL las señales mantienen su valor hasta que se les asigna uno nuevo.

Estructuras básicas con declaraciones secuenciales Sintaxis IF: Ejemplo:

Ejemplo: contador de un dígito

Sumadores: completo

Decodificador: BCD a 7 segmentos

Referencias: Volnei A. Pedroni. 2004. Circuit Design with VHDL. MIT Press, Cambridge, MA, USA. Maxinez, D.G., Alcalá, J. 2003. VHDL: el arte de programar sistemas digitales. Compañía Editorial Continental.