U.M.S.A. ETN-601 Sistemas Digitales Decodificador 3 a 8 Aux. David Marza Herrera.

Slides:



Advertisements
Presentaciones similares
Lenguajes de Descripción de Hardware
Advertisements

Equipo #3 Unidad III: ALU Efraín Corral Eduardo Castillo Elías Alarcón
Métodos de diseño en VHDL.
SENTENCIAS SECUENCIALES
Autor: Sergio García López Director: Francesc Josep Sánchez i Robert
Circuitos Digitales II
Introducción al VHDL VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables.
Programación de sistemas reconfigurables
Vhdl para síntesis Alfredo Rosado Muñoz Universidad de Valencia Ingeniería Electrónica Diseño de Circuitos y Sistemas Electrónicos.
Algebra Booleana y Compuertas Lógicas
Laboratorio de Microprocesadores - ITBA -Daniel Jacoby 1 REV LABORATORIO DE MICROPROCESADORES Daniel A Jacoby Marzo 2008 Instituto.
CURSO: UNIDAD 4: LENGUAJES HDL
VHDL.
Interfaces de entrada / salida
Interfases de Entrada / Salida
GESTION DE LA JERARQUIA
Universidad Autónoma de Nuevo León Facultad de Ingeniería Mecánica y Eléctrica Abril 2013 Sistemas Digitales Electrónica Digital I Diseño Combinacional.
ESTRUCTURA GENERAL DE UN SISTEMA DE TRANSFERENCIA DE REGISTROS
Capítulo.2 - Fundamentos del Lenguaje VHDL
DSL Domain Specific Language (Lenguaje Especifico del Dominio)
VHDL Breve introducción.
Others, soporte para síntesis. Los agregados tienen la habilidad de usar la sentencia others, la cual asignará un valor a todos los otros elementos de.
Diseño lógico combinacional mediante VHDL
Introducción Electrónica Digital
Introducción a las Interfaces de entrada / salida.
Diseño Lógico Combinacional con VHDL
VHDL El nombre proviene de VHSIC Hardware Description Language, donde VHSIC significa Very High Speed Integrated Circuits. Es un lenguaje formal de especificación.
Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones.
Librerías y packages (paquetes)
CLASE 5.
Capítulo.3 - Diseño Lógico Combinacional con VHDL
DIPLOMADO EN SISTEMAS DIGITALES USO DE LOS PERIFERICOS DEL SISTEMA DE DESARROLLO SPARTAN 3E Instructor: MC. Rodrigo Lucio Maya Ramírez.
FPGA - Flujo de Diseño UBA - Sistemas Embebidos - FPGA – SoftCores – 2010 – Franco Ferrucci, Sebastián García.
LA ARQUITECTURA O LA FUNCIONALIDAD DEL SISTEMA DefiniciónDefiniciónDefinición Declaración y reglas sintácticas.Declaración y reglas sintácticas.Declaración.
PUESTO-TRABAJO (Código-Puesto, Empresa, Sueldo, DNI- Contratado) TITULADO (DNI-Titulado, Nombre, Apellidos, Dirección) TITULACION (Iden-Titulación, Nombre,
BANCOS DE PRUEBAS CON VHDL (TESTBENCHES). Sistemas Digitales - FIUBA Bancos de prueba ¿Cómo realizar la prueba de un dispositivo descripto en VHDL? DUT.
Universidad Autónoma de Nuevo León Facultad de Ingeniería Mecánica y Eléctrica Octubre 2012 Sistemas Digitales Electrónica Digital I Diseño Combinacional.
Diseño Lógico 2 Metodología de Diseño
[ Sistemas Digitales ] Memorias D.Mery 1 Arquitectura de Computadores Celda de memoria BC entrada seleccionar salida leer/escribir (1/0)
Circuitos Combinacionales I
VHDL. Indice Sistemas Digitales - FIUBA VHDL Lenguajes descriptores de hardware VHDL - Introducción - Entidad de diseño (declaración de entidad y cuerpo.
UNIDAD 3: SISTEMAS COMBINACIONALES Y SECUENCIALES UNIDAD 3: SISTEMAS COMBINACIONALES Y SECUENCIALES ING. GERARDO A. LEAL, MSC Unidad 3: Sistemas Combinacionales.
NCO (Numerically Controlled Oscillator). NCO: Oscilador controlado numéricamente Aplicaciones Conversores digitales up/down PLLs digitales Sistemas de.
1 LENGUAJE DE DESCRIPCION DE HARDWARE ELECTRONICA DIGITAL NAYIBE CHIO CHO NAYIBE CHIO CHO.
BANCOS DE PRUEBA CON VHDL (TESTBENCHES). Sistemas Digitales - FIUBA Bancos de prueba ¿Cómo realizar la prueba de un dispositivo descripto en VHDL? DUT.
Asignación de señales en VHDL. VHDL: Asignación de señales La asignación a una señal establece una o más transacciones sobre la misma Cada señal tiene.
VHDL. Indice Sistemas Digitales - FIUBA VHDL Lenguajes descriptores de hardware VHDL - Introducción - Entidad de diseño (declaración de entidad y cuerpo.
Organización del Computador 1 Lógica Digital Circuitos Secuenciales.
CONSIDERACIONES DE RUIDO EN SISTEMAS PCM ING. JAVIER GARCIA.
Pablo Huerta Pellitero
Circuitos combinacionales II
Capítulo 4: ¿Te interesa la tecnología?
Cicuitos Combinatorios y Algebra Booleana
Diseño de Circuitos Lógicos Combinatorios (2)
En VHDL existen tres tipos de declaraciones concurrentes;
Hardware Description Language
Programación en VHDL.
VHDL. INTRODUCCION Se estudiará como VHDL es usado en proyectos de diseño. Se analizarán las aplicaciones de VHDL y los diferentes estilos para usar el.
1 Introducción Electrónica Digital Electrónica Básica José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC.
VHDL. INTRODUCCION Se estudiará como VHDL es usado en proyectos de diseño. Se analizarán las aplicaciones de VHDL y los diferentes estilos para usar el.
Presentación de prueba.
Diseño Digital Moderno Semestre 2019-I.
ispLSI1032E- Estructura Disseny Electrònic Assistit per Ordinador
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
Diseño Digital Semestre 2019-I.
(Numerically Controlled Oscillator)
5.Análisis y diseño desistemas secuenciales (III) Fundamentos de los Computadores Grado en Ingeniería Informática.
Diseño Digital Semestre 2019-I.
END.
Alimentos funcionales. GRACIAS POR SU ATENCION.
Transcripción de la presentación:

U.M.S.A. ETN-601 Sistemas Digitales Decodificador 3 a 8 Aux. David Marza Herrera

Decodificador 3 a 8 74LS138  Diagrama Lógico

Decodificador 3 a 8 74LS138  Tabla de Verdad

Decodificador 3 a 8 Diseño Esquemático en Quartus II  TIPO DE ARCHIVO Diagrama Esquemático

Decodificador 3 a 8 Diseño Esquemático en Quartus II Diagrama Esquemático

Decodificador 3 a 8 Diseño Esquemático  Simulación Es necesario crear el archivo Vector de prueba

Decodificador 3 a 8 Diseño Esquemático  Simulación El vector debe ser una muestra representativa

Decodificador 3 a 8 Diseño Esquemático  Tipos de Simulación Funcional No toma en cuenta los retardos de propagación.

Decodificador 3 a 8 Diseño Esquemático  Simulación Funcional Comprobar el funcionamiento corresponde a su tabla de verdad

Decodificador 3 a 8 Diseño Esquemático  Simulación Temporal Para examinar la funcionalidad considerando retardos de propagación

Decodificador 3 a 8 Diseño usando VHDL  TIPO DE ARCHIVO VHDL

Decodificador 3 a 8 Diseño usando VHDL  Funciones lógicas a partir del diagrama

Decodificador 3 a 8 Diseño usando VHDL  Codigo en VHDL Diseño estructurado LIBRARY ieee; USEieee.std_logic_1164.ALL; ENTITYdec3a8sIS PORT(A0, A1, A2, E1, E2, E3:IN STD_LOGIC; s1, s2, s3, s4, s5 :OUT STD_LOGIC; s6, s7,s8:OUT STD_LOGIC); END dec3a8s; ARCHITECTUREdecodificOFdec3a8s IS SIGNALA0neg:STD_LOGIC; SIGNALA1neg:STD_LOGIC; SIGNALA2neg:STD_LOGIC; SIGNALhabilit:STD_LOGIC; BEGIN A0neg<=NOT A0; A1neg<=NOT A1; A2neg<=NOT A2; habilit<=NOT E1 AND NOT E2 AND E3; s1 <= NOT(habilit AND (A0neg AND A1neg AND A2neg)); s2 <= NOT(habilit AND (NOT A0neg AND A1neg AND A2neg)); s3 <= NOT(habilit AND (A0neg AND NOT A1neg AND A2neg)); s4 <= NOT(habilit AND (NOT A0neg AND NOT A1neg AND A2neg)); s5 <= NOT(habilit AND (A0neg AND A1neg AND NOT A2neg)); s6 <= NOT(habilit AND (NOT A0neg AND A1neg AND NOT A2neg)); s7 <= NOT(habilit AND (A0neg AND NOT A1neg AND NOT A2neg)); s8 <= NOT(habilit AND (NOT A0neg AND NOT A1neg AND NOT A2neg)); END decodific;

Decodificador 3 a 8 Diseño VHDL  Simulación funcional Para el diseño VHDL usando el mismo vector de prueba

Decodificador 3 a 8 Diseño usando VHDL  Tabla de Verdad

Decodificador 3 a 8 Diseño usando VHDL  Codigo en VHDL Tabla de Verdad ENTITY tabdeco3a8 IS PORT( a:IN BIT_VECTOR(2 DOWNTO 0); E3, E2, E1 :IN BIT; q:OUT BIT_VECTOR(7 DOWNTO 0)); END tabdeco3a8; ARCHITECTURE tabla OF tabdeco3a8 IS SIGNAL entradas :BIT_VECTOR(5 DOWNTO 0); BEGIN entradas <= E3 & E2 & E1 & a; WITH entradas SELECT q <= " " WHEN "100000", " " WHEN "100001", " " WHEN "100010", " " WHEN "100011", " " WHEN "100100", " " WHEN "100101", " " WHEN "100110", " " WHEN "100111", " " WHEN OTHERS; END tabla;

Decodificador 3 a 8 Diseño VHDL  Simulación funcional Para el diseño VHDL

FIN DE LA PRESENTACION GRACIAS POR SU ATENCION