Asignación de señales en VHDL. VHDL: Asignación de señales La asignación a una señal establece una o más transacciones sobre la misma Cada señal tiene.

Slides:



Advertisements
Presentaciones similares
Lenguajes de Descripción de Hardware
Advertisements

Equipo #3 Unidad III: ALU Efraín Corral Eduardo Castillo Elías Alarcón
4 Mayo, 2006 CETA Miguel Cárdenas Montes CETA-CIEMAT Centro Extremeño de Tecnología Avanzada CETA.
Métodos de diseño en VHDL.
SENTENCIAS SECUENCIALES
Simple, isolated action that is totally completed in the past (happened once) Beginning or end of action Happened a specific number of times Happened.
Autor: Sergio García López Director: Francesc Josep Sánchez i Robert
Introducción al VHDL VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables.
Programación de sistemas reconfigurables
1. Algoritmo 2. Arquitectura 3. Implementación 4. Conclusiones  IMPLEMENTACIÓN VLSI DEL ALGORITMO CORDIC EN MODO VECTORIZACIÓN UTILIZANDO RADIX ALTO 
VHDL.
Interfaces de entrada / salida
Interfases de Entrada / Salida
GESTION DE LA JERARQUIA
ESTRUCTURA GENERAL DE UN SISTEMA DE TRANSFERENCIA DE REGISTROS
1 Concurrencia Procesos y Programas. 2 Establecer Comunicación entre procesos (memoria común)
Capítulo.2 - Fundamentos del Lenguaje VHDL
DSL Domain Specific Language (Lenguaje Especifico del Dominio)
VHDL Breve introducción.
Others, soporte para síntesis. Los agregados tienen la habilidad de usar la sentencia others, la cual asignará un valor a todos los otros elementos de.
Diseño lógico combinacional mediante VHDL
Vamos a repasar la tarea
Introducción Electrónica Digital
Introducción a las Interfaces de entrada / salida.
Conjuntos  Tipo estructurado  Es una lista encerrada entre corchetes  Deben ser elementos de igual tipo y Ordinales. Pueden especificarse subrangos.
Diseño Lógico Combinacional con VHDL
VHDL El nombre proviene de VHSIC Hardware Description Language, donde VHSIC significa Very High Speed Integrated Circuits. Es un lenguaje formal de especificación.
El reflexivo. Which verbs are reflexive? Verbs that have “se” on the end Bañarse is an “-ar” reflexive verb.
Proyecto de Sistemas Informáticos Curso Carlos Sánchez-Vellisco Sánchez Antonio José García Martínez David Fernández Máiquez.
Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones.
Librerías y packages (paquetes)
Capítulo.3 - Diseño Lógico Combinacional con VHDL
Haz ahora ¿Cuál clase es tu favorita? ¿En general cómo son tus maestros?
DIPLOMADO EN SISTEMAS DIGITALES USO DE LOS PERIFERICOS DEL SISTEMA DE DESARROLLO SPARTAN 3E Instructor: MC. Rodrigo Lucio Maya Ramírez.
FPGA - Flujo de Diseño UBA - Sistemas Embebidos - FPGA – SoftCores – 2010 – Franco Ferrucci, Sebastián García.
LA ARQUITECTURA O LA FUNCIONALIDAD DEL SISTEMA DefiniciónDefiniciónDefinición Declaración y reglas sintácticas.Declaración y reglas sintácticas.Declaración.
La Familia Click to Begin. Hola! Me llamo Juan. This activity is all about mi familia. On each page, read the family member word at the top and then click.
PUESTO-TRABAJO (Código-Puesto, Empresa, Sueldo, DNI- Contratado) TITULADO (DNI-Titulado, Nombre, Apellidos, Dirección) TITULACION (Iden-Titulación, Nombre,
Diseño jerárquico con VHDL
BANCOS DE PRUEBAS CON VHDL (TESTBENCHES). Sistemas Digitales - FIUBA Bancos de prueba ¿Cómo realizar la prueba de un dispositivo descripto en VHDL? DUT.
Diseño Lógico 2 Metodología de Diseño
Preposiciones dicen: Donde Each slide will change after a few seconds. For each slide you must decide where the BLACK box is. There are two possible answers.
Hoy es martes el diecisiete de noviembre SWBAT:Identify and recall vocabulary for school subjects and indicate what times classes begin and end HAGAN AHORA:
Lenguaje Estructurado de Consultas
VHDL. Indice Sistemas Digitales - FIUBA VHDL Lenguajes descriptores de hardware VHDL - Introducción - Entidad de diseño (declaración de entidad y cuerpo.
PICOBLAZE (Microcontrolador Embebido de 8 bits). Picoblaze Es un microcontrolador de 8 bits, muy simple, orientado inicialmente para FPGAs de la familia.
NCO (Numerically Controlled Oscillator). NCO: Oscilador controlado numéricamente Aplicaciones Conversores digitales up/down PLLs digitales Sistemas de.
1 LENGUAJE DE DESCRIPCION DE HARDWARE ELECTRONICA DIGITAL NAYIBE CHIO CHO NAYIBE CHIO CHO.
BANCOS DE PRUEBA CON VHDL (TESTBENCHES). Sistemas Digitales - FIUBA Bancos de prueba ¿Cómo realizar la prueba de un dispositivo descripto en VHDL? DUT.
La hora The questions... ¿Qu é hora es? What time is it? ¿ A qu é hora?At what time?
U.M.S.A. ETN-601 Sistemas Digitales Decodificador 3 a 8 Aux. David Marza Herrera.
VHDL. Indice Sistemas Digitales - FIUBA VHDL Lenguajes descriptores de hardware VHDL - Introducción - Entidad de diseño (declaración de entidad y cuerpo.
CONSIDERACIONES DE RUIDO EN SISTEMAS PCM ING. JAVIER GARCIA.
Pablo Huerta Pellitero
Capítulo 4: ¿Te interesa la tecnología?
Lo esencial de Internet
MEDIOS DE TRANSPORTE MEANS OF TRANSPORT.
Diseño de Circuitos Lógicos Combinatorios (2)
CIEMAT Spanish WA105 meeting
Hardware Description Language
Programación en VHDL.
VHDL. INTRODUCCION Se estudiará como VHDL es usado en proyectos de diseño. Se analizarán las aplicaciones de VHDL y los diferentes estilos para usar el.
1 Introducción Electrónica Digital Electrónica Básica José Ramón Sendra Sendra Dpto. de Ingeniería Electrónica y Automática ULPGC.
VHDL. INTRODUCCION Se estudiará como VHDL es usado en proyectos de diseño. Se analizarán las aplicaciones de VHDL y los diferentes estilos para usar el.
Capítulo 2: LENGUAJES DE DESCRIPCIÓN DE HARDWARE: VHDL – Generalidades – Ventajas e inconvenientes de los HDL´s – Características generales del VHDL –
Ing. Diego Barragán Guerrero Máquinas de estado con VHDL.
ispLSI1032E- Estructura Disseny Electrònic Assistit per Ordinador
(1) Basic Language Concepts © Sudhakar Yalamanchili, Georgia Institute of Technology, 2006.
(Numerically Controlled Oscillator)
END.
Transcripción de la presentación:

Asignación de señales en VHDL

VHDL: Asignación de señales La asignación a una señal establece una o más transacciones sobre la misma Cada señal tiene asociada una forma de onda proyectada, que es una lista de transacciones que indica los valores futuros de la señal. Por ejemplo: s <= ‘1’ after 20 ns; causará que la señal tome el valor ‘1’, 20 ns después de ejecutada la asignación. Esto se representa de la siguiente manera: Cuando la señal cambia de valor se dice que ha ocurrido un evento sobre ella. ‘1’ 20 ns Sistemas Digitales - FIUBA

VHDL: Asignación de señales El valor actual y los proyectados constituyen el driver de la señal Ejemplo: a <= ‘1’ after 10 ns, ‘0’ after 15 ns, ‘1’ after 30 ns; Sistemas Digitales - FIUBA v0t0v0t0 v1t1v1t1 v2t2v2t2 v3t3v3t3 Valor actual Valores proyectados ‘1’ 10 ns ‘0’ 15 ns ‘1’ 30 ns

VHDL: Asignación de señales La asignación puede realizarse de acuerdo a dos tipos de retardo: inercial y transporte. Sistemas Digitales - FIUBA Retardo de transporte - Caso 1: la nueva transacción creada tiene un tiempo superior al de las demás transacciones existentes en el driver La nueva transacción se añade al final del driver - Caso 2: la nueva transacción creada tiene un tiempo inferior que el de alguna de las demás transacciones existentes en el driver La nueva transacción se añade al driver y todas las transacciones que poseen tiempos superiores al de ella son eliminadas del driver

VHDL: Asignación de señales La asignación puede realizarse de acuerdo a dos tipos de retardo: inercial y transporte. Sistemas Digitales - FIUBA Retardo de transporte Ejemplo: Se considera declarada la señal a ( signal a: std_logic := ‘Z’;) pp: process begin a <= ‘1’ after 10 ns, ‘0’ after 25 ns, ‘1’ after 40 ns, ‘0’ after 50 ns; a <= transport ‘0’ after 30 ns; wait; end process; ‘1’ 10 ns ‘0’ 25 ns ‘1’ 40 ns ‘0’ 50 ns ‘1’ 10 ns ‘0’ 25 ns ‘0’ 30 ns Primera asignaciónSegunda asignación

VHDL: Asignación de señales La asignación puede realizarse de acuerdo a dos tipos de retardo: inercial y transporte. Sistemas Digitales - FIUBA Retardo de transporte Ejemplo: Se considera declarada la señal a ( signal a: std_logic := ‘Z’;) ‘1’ 10 ns ‘0’ 25 ns ‘1’ 40 ns ‘0’ 50 ns ‘1’ 10 ns ‘0’ 25 ns ‘0’ 30 ns Primera asignaciónSegunda asignación

VHDL: Asignación de señales La asignación puede realizarse de acuerdo a dos tipos de retardo: inercial y transporte. Sistemas Digitales - FIUBA Retardo inercial - Todas las transacciones posteriores a la nueva se eliminan del driver (del mismo modo que en transporte). - Todas las transacciones anteriores a la nueva, a partir del primer valor distinto, se eliminan.

VHDL: Asignación de señales La asignación puede realizarse de acuerdo a dos tipos de retardo: inercial y transporte. Sistemas Digitales - FIUBA Retardo inercial Ejemplo: Se considera declarada la señal a ( signal a: std_logic := ‘Z’;) pp: process begin a <= ‘1’ after 10 ns, ‘0’ after 25 ns, ‘1’ after 40 ns, ‘0’ after 50 ns; a <= ‘1’ after 45, ‘0’ after 70 ns; wait; end process; ‘1’ 10 ns ‘0’ 25 ns ‘1’ 40 ns ‘0’ 50 ns ‘1’ 40 ns ‘1’ 45 ns ‘0’ 70 ns Primera asignaciónSegunda asignación

VHDL: Asignación de señales La asignación puede realizarse de acuerdo a dos tipos de retardo: inercial y transporte. Sistemas Digitales - FIUBA Retardo inercial Ejemplo: Se considera declarada la señal a ( signal a: std_logic := ‘Z’;) ‘1’ 10 ns ‘0’ 25 ns ‘1’ 40 ns ‘0’ 50 ns ‘1’ 40 ns ‘1’ 45 ns ‘0’ 70 ns Primera asignaciónSegunda asignación

VHDL: Asignación de señales Ejemplo 1 entity pru_inercial is port ( E: in std_logic; S: out std_logic ); end; architecture beh of pru_inercial is begin process(E) begin S <= E after 10 ns; end process; end; entity pulso is end; architecture beh of pulso is signal E: std_logic; signal S: std_logic; component pru_inercial is port ( E: in bit; S: out bit ); end component; begin E <= ‘0’, ‘1’ after 20 ns, ‘0’ after 35 ns; aa: pru_inercial port map(E => E, S => S); end; Sistemas Digitales - FIUBA

‘0’  ‘1’ 20 ns ‘U’ E S ‘0’ 35 ns E S t =  VHDL: Asignación de señales Sistemas Digitales - FIUBA

‘0’  ‘1’ 20 ns ‘0’ ‘U’ E S ‘0’ 35 ns ‘0’ 10 ns E S t =  VHDL: Asignación de señales Sistemas Digitales - FIUBA 

‘1’ 20 ns ‘0’ E S 35 ns ‘0’ 10 ns E S t = VHDL: Asignación de señales Sistemas Digitales - FIUBA

‘1’ 20 ns ‘1’ ‘0’ E S 35 ns ‘1’ 30 ns E S t = VHDL: Asignación de señales Sistemas Digitales - FIUBA

‘0’ 35 ns ‘1’ E S 30 ns E S t = VHDL: Asignación de señales Sistemas Digitales - FIUBA

‘0’ 35 ns ‘0’ ‘1’ E S ‘0’ 45 ns E S t = VHDL: Asignación de señales Sistemas Digitales - FIUBA

‘0’ E S 45 ns E S t = VHDL: Asignación de señales Sistemas Digitales - FIUBA

‘0’ E S E S t > VHDL: Asignación de señales Sistemas Digitales - FIUBA

VHDL: Asignación de señales Ejemplo 2 entity pru_inercial is port ( E: in std_logic; S: out std_logic ); end; architecture beh of pru_inercial is begin process(E) begin S <= E after 10 ns; end process; end; entity pulso is end; architecture beh of pulso is signal E: std_logic; signal S: std_logic; component pru_inercial is port ( E: in bit; S: out bit ); end component; begin E <= ‘0’, ‘1’ after 20 ns, ‘0’ after 25 ns; aa: pru_inercial port map(E => E, S => S); end; Sistemas Digitales - FIUBA

VHDL: Asignación de señales entity pru_asignacion is end; architecture beh of pru_asignacion is constant N: integer := 4; constant TA: bit_vector(0 to N-1) := "1100"; constant TB: bit_vector(0 to N-1) := "1010"; signal a, b, c: bit := '0'; begin c <= a xor b after 10 ns; process begin for i in 0 to N-1 loop a <= TA(i); b <= TB(i); wait on c for 20 ns; end loop; wait; end process; end; Ejemplo 3 Sistemas Digitales - FIUBA

VHDL: Asignación de señales Sistemas Digitales - FIUBA