La descarga está en progreso. Por favor, espere

La descarga está en progreso. Por favor, espere

Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones.

Presentaciones similares


Presentación del tema: "Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones."— Transcripción de la presentación:

1 Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones

2 Historia Fue auspiciado por el Departamento de Defensa de EEUU. Desde 1987 hay un standard (IEEE) que se ha usado por la industria electrónica. Se basa en el lenguaje ADA. Se utiliza para:  Describir o especificar sistemas electrónicos complejos (y no tanto).  Simular su comportamiento.  Sintetizar circuitos a partir de una especificación.

3 Definiciones Generales Cada modelo de VHDL esta compuesto por:  Entidades (interface)  Arquitecturas (diferentes formas de implementar una entidad)  Configuraciones (se indica como se crea el modelo o circuito) Es fuertemente tipado y case insensitive. Un entorno de trabajo de VHDL incluye:  Editor de texto razonable  Un compilador  Un simulador

4 Entidades Es la unidad basica de diseño en VHDL. Actua como interface del componente a definir. Todo modelo en VHDL consiste en una o más entidades (debe tener al menos una). Ejemplo (interface de la negacion): ENTITY inv IS generic (peso : Integer := 200); PORT ( i1: IN BIT; o1: OUT BIT ); END inv;

5 Arquitecturas Es la implementación de la entidad. Puede haber mas de una por entidad Se pueden tomar dos caminos para implementar cada arquitectura:  Por comportamiento (lenguaje de programación)  Por estructura (acoplando distintas componentes o entidades definidas previamente). ARCHITECTURE no_delay OF inv IS BEGIN o1 <= NOT i1; END no_delay;

6 Arquitecturas (II) Hay tres modos de ejecucion de una arquitectura  Secuencial  Concurrente Asignación de signals es <= Asignación de variables es := Ejemplo de instanciación de componentes: architecture structure of is component inv PORT (i1: IN BIT; o1: OUT BIT ); end component; begin my_inv : inv port map (i1 => clock0, o1=>dealer1);...

7 Arquitecturas (Ejemplos) Ejemplo Concurrente SIGNAL select, x: BIT; BEGIN select <= 0 WHEN i1 = 0 ELSE 1; x <= 1 WHEN select = 0 ELSE 0; END...; Ejemplo Secuencial SIGNAL select, x: BIT; VARIABLE tonta: INTEGER; BEGIN if i1=0 AND x=1 then select <= 0 ELSEIF i1=0 AND x=0 THEN tonta:=34 ELSIF i1=1 AND x=1 THEN select <= 1; END...;

8 Configuraciones Es la forma de indicar al simulador que arquitectura usar para cada componente (entidad). La idea es poder probar con diferentes arquitecturas pudiendo cambiar facilmente entre ellas. La indicación de que arquitectura usar se realiza de manera jerárquica, es decir, dentro de cada arquitectura también hay que decir cuál es la arquitectura de los componentes utilizados.

9 Configuraciones Un ejemplo: configuration test_orga1 of is for for my_inv: inv use entity work.inv( ); end for; end test_orga1;

10 Y ahora... Si tenemos suerte... vemos un ejemplo en el entorno....


Descargar ppt "Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones."

Presentaciones similares


Anuncios Google