Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones.

Slides:



Advertisements
Presentaciones similares
Lenguajes de Descripción de Hardware
Advertisements

Equipo #3 Unidad III: ALU Efraín Corral Eduardo Castillo Elías Alarcón
Métodos de diseño en VHDL.
SENTENCIAS SECUENCIALES
ADA: lenguaje de programación concurrente
Síntesis Lógica con HDL
Circuitos Digitales II
Introducción al VHDL VHDL orientado a la síntesis de circuitos en Dispositivo Lógicos Programables.
INTRODUCCION AL SQL PROCEDURAL
Estructura General de Un Programa Programación Estructurada
Introducción 1 Puntos Clave –La orientación a objetos representa un cambio radical en los métodos tradicionales de creación de software –Los métodos tradicionales.
Vhdl para síntesis Alfredo Rosado Muñoz Universidad de Valencia Ingeniería Electrónica Diseño de Circuitos y Sistemas Electrónicos.
Unidad 4: Análisis de algoritmos (parte II)
Preguntas tipo test (Tema I)
Circuitos Digitales II TestBench, Generics, Generate y Más sobre Procesos Semana No.4 Semestre Prof. Gustavo Patiño Prof. Eugenio.
PROGRAMACION ORIENTADA
CURSO: UNIDAD 4: LENGUAJES HDL
MÓDULO DE PROCEDIMIENTOS Los módulos también pueden contener subrutinas y funciones → MÓDULO DE PROCEDIMIENTOS  PROCEDIMIENTOS → son compilados como parte.
El paradigma de la orientación a objetos La programación orientada a objetos genera códigos eficientes y estandariza la metodología de programación, además.
Unified Modeling Language (Lenguaje de Modelamiento unificado)
TRAMPAS EN EL DISEÑO DE LENGUAJES CON RELACIÓN A LOS NOMBRES - REGLAS DE ALCANCE - COMPILACIÓN POR SEPARADO CONTROL DE FLUJO - EVALUACIÓN DE EXPRESIONES.
L. Enrique Sucar/Eduardo Morales
VHDL.
Interfaces de entrada / salida
Interfases de Entrada / Salida
GESTION DE LA JERARQUIA
ESTRUCTURA GENERAL DE UN SISTEMA DE TRANSFERENCIA DE REGISTROS
1 Concurrencia Procesos y Programas. 2 Establecer Comunicación entre procesos (memoria común)
Capítulo.2 - Fundamentos del Lenguaje VHDL
DSL Domain Specific Language (Lenguaje Especifico del Dominio)
Sintaxis.
Ingeniería de Software Orientado a Objetos
VHDL Breve introducción.
Others, soporte para síntesis. Los agregados tienen la habilidad de usar la sentencia others, la cual asignará un valor a todos los otros elementos de.
Diseño lógico combinacional mediante VHDL
Introducción a EL: COMPONENTES  Son los elementos de modelado más importantes. Permiten modelar mediante ecuaciones continuas, discretas e incluir sentencias.
Diseñando la arquitectura de aplicaciones empresariales. Acceso al SQL Server.- Autenticación de usuario:
Teoría – Alejandro Gonzalez
Ada 2º Parte (variables, ámbitos , subrutinas , tipos abstractos de datos y excepciones)
Introducción Electrónica Digital
Control de Flujo.
Diseño Lógico Combinacional con VHDL
VHDL El nombre proviene de VHSIC Hardware Description Language, donde VHSIC significa Very High Speed Integrated Circuits. Es un lenguaje formal de especificación.
Integración de entidades en VHDL
Librerías y packages (paquetes)
Capítulo.3 - Diseño Lógico Combinacional con VHDL
Interfaces ELO329: Diseño y Programación Orientados a Objetos.
Herencia. Introducción La idea básica es poder crear clases basadas en clases ya existentes. Cuando heredamos de una clase existente, estamos re-usando.
Lenguajes de Programación
DIPLOMADO EN SISTEMAS DIGITALES USO DE LOS PERIFERICOS DEL SISTEMA DE DESARROLLO SPARTAN 3E Instructor: MC. Rodrigo Lucio Maya Ramírez.
FPGA - Flujo de Diseño UBA - Sistemas Embebidos - FPGA – SoftCores – 2010 – Franco Ferrucci, Sebastián García.
LA ARQUITECTURA O LA FUNCIONALIDAD DEL SISTEMA DefiniciónDefiniciónDefinición Declaración y reglas sintácticas.Declaración y reglas sintácticas.Declaración.
Base de datos Francisco Castro. Creación de una tabla drop table TABLA cascade constraints; /*==============================================================*/
Unified Modeling Language (Lenguaje de Modelamiento unificado)
Diseño jerárquico con VHDL
Estructuras de Control
BANCOS DE PRUEBAS CON VHDL (TESTBENCHES). Sistemas Digitales - FIUBA Bancos de prueba ¿Cómo realizar la prueba de un dispositivo descripto en VHDL? DUT.
Diseño Lógico 2 Metodología de Diseño
MODULA Lenguaje de Programación Anabel Martín González
Estructuras de control selectivas Fundamentos de Programación Departamento de Lenguajes y Sistemas Informáticos Versión Práctica 3.
VHDL. Indice Sistemas Digitales - FIUBA VHDL Lenguajes descriptores de hardware VHDL - Introducción - Entidad de diseño (declaración de entidad y cuerpo.
NCO (Numerically Controlled Oscillator). NCO: Oscilador controlado numéricamente Aplicaciones Conversores digitales up/down PLLs digitales Sistemas de.
1 LENGUAJE DE DESCRIPCION DE HARDWARE ELECTRONICA DIGITAL NAYIBE CHIO CHO NAYIBE CHIO CHO.
BANCOS DE PRUEBA CON VHDL (TESTBENCHES). Sistemas Digitales - FIUBA Bancos de prueba ¿Cómo realizar la prueba de un dispositivo descripto en VHDL? DUT.
Asignación de señales en VHDL. VHDL: Asignación de señales La asignación a una señal establece una o más transacciones sobre la misma Cada señal tiene.
U.M.S.A. ETN-601 Sistemas Digitales Decodificador 3 a 8 Aux. David Marza Herrera.
CONSIDERACIONES DE RUIDO EN SISTEMAS PCM ING. JAVIER GARCIA.
En VHDL existen tres tipos de declaraciones concurrentes;
Hardware Description Language
Programación en VHDL.
Transcripción de la presentación:

Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones

Historia Fue auspiciado por el Departamento de Defensa de EEUU. Desde 1987 hay un standard (IEEE) que se ha usado por la industria electrónica. Se basa en el lenguaje ADA. Se utiliza para:  Describir o especificar sistemas electrónicos complejos (y no tanto).  Simular su comportamiento.  Sintetizar circuitos a partir de una especificación.

Definiciones Generales Cada modelo de VHDL esta compuesto por:  Entidades (interface)  Arquitecturas (diferentes formas de implementar una entidad)  Configuraciones (se indica como se crea el modelo o circuito) Es fuertemente tipado y case insensitive. Un entorno de trabajo de VHDL incluye:  Editor de texto razonable  Un compilador  Un simulador

Entidades Es la unidad basica de diseño en VHDL. Actua como interface del componente a definir. Todo modelo en VHDL consiste en una o más entidades (debe tener al menos una). Ejemplo (interface de la negacion): ENTITY inv IS generic (peso : Integer := 200); PORT ( i1: IN BIT; o1: OUT BIT ); END inv;

Arquitecturas Es la implementación de la entidad. Puede haber mas de una por entidad Se pueden tomar dos caminos para implementar cada arquitectura:  Por comportamiento (lenguaje de programación)  Por estructura (acoplando distintas componentes o entidades definidas previamente). ARCHITECTURE no_delay OF inv IS BEGIN o1 <= NOT i1; END no_delay;

Arquitecturas (II) Hay tres modos de ejecucion de una arquitectura  Secuencial  Concurrente Asignación de signals es <= Asignación de variables es := Ejemplo de instanciación de componentes: architecture structure of is component inv PORT (i1: IN BIT; o1: OUT BIT ); end component; begin my_inv : inv port map (i1 => clock0, o1=>dealer1);...

Arquitecturas (Ejemplos) Ejemplo Concurrente SIGNAL select, x: BIT; BEGIN select <= 0 WHEN i1 = 0 ELSE 1; x <= 1 WHEN select = 0 ELSE 0; END...; Ejemplo Secuencial SIGNAL select, x: BIT; VARIABLE tonta: INTEGER; BEGIN if i1=0 AND x=1 then select <= 0 ELSEIF i1=0 AND x=0 THEN tonta:=34 ELSIF i1=1 AND x=1 THEN select <= 1; END...;

Configuraciones Es la forma de indicar al simulador que arquitectura usar para cada componente (entidad). La idea es poder probar con diferentes arquitecturas pudiendo cambiar facilmente entre ellas. La indicación de que arquitectura usar se realiza de manera jerárquica, es decir, dentro de cada arquitectura también hay que decir cuál es la arquitectura de los componentes utilizados.

Configuraciones Un ejemplo: configuration test_orga1 of is for for my_inv: inv use entity work.inv( ); end for; end test_orga1;

Y ahora... Si tenemos suerte... vemos un ejemplo en el entorno....