DSL Domain Specific Language (Lenguaje Especifico del Dominio)

Slides:



Advertisements
Presentaciones similares
Lenguajes de Descripción de Hardware
Advertisements

Equipo #3 Unidad III: ALU Efraín Corral Eduardo Castillo Elías Alarcón
Métodos de diseño en VHDL.
SQL Sigla del nombre “Structured Query Language”.
SENTENCIAS SECUENCIALES
LENGUAJES DE DESCRIPCION DE HARDWARE (HDL)
Rocio Contreras Aguila Primer Semestre Para poder ejecutar esto SQL Server nos permite definir datos y nos entrega herramientas para poder exigir.
Base de Datos Orientada a Objetos (OODBMS)
UNIVERSIDAD AUTÓNOMA DE BAJA CALIFORNIA
Por: Ronald Toscano Pretelt
Programación de sistemas reconfigurables
INSTITUTO TECNOLOGICO DE MINATITLAN
Sistema de Gestión de Base de Datos
Características Objeto Relacionales en Oracle Francisco Moreno Universidad Nacional.
Sistema de gestión de las bases de datos (SGBD).
4/2/ :49 PM BASE DE DATOS © 2007 Microsoft Corporation. All rights reserved. Microsoft, Windows, Windows Vista and other product names are or may.
MySQL M.C. Pedro Bello López.
VHDL.
SQL Lenguaje de consulta estructurado
Bases de Datos Relacionales
Interfaces de entrada / salida
Interfases de Entrada / Salida
GESTION DE LA JERARQUIA
Capítulo.2 - Fundamentos del Lenguaje VHDL
Integrantes: Peter velazques Andres heredia Juan Mendez
Una base de datos es un “almacén” que nos permite guardar grandes cantidades de información de forma organizada para que luego podamos encontrar y utilizar.
VHDL Breve introducción.
John Freddy Duitama M.U.de.A. Facultad de Ingeniería. Creación del esquema de Una Base de Datos. John Freddy Duitama Muñoz. Facultad de Ingeniería. U.de.A.
INSTITUTO TECNOLÓGICO DEL VALLE DEL GUADIANA
SQL (Structured Query Language / Lenguaje de consulta estructurado)‏
Lenguaje de Base de Datos
Clase 3: Bases de datos relacionales e introducción a SQL. Procesos y Algoritmos I-2006.
ESCUELA TECNOLÓGICA INSTITUTO TÉCNICO CENTRAL Ing. Johanna Vargas Esp. Gerencia de proyectos.
Introducción Electrónica Digital
Bases de Datos 1 Prof. Daniel Obando Fuentes. USE USE {database}; Cambia el contexto de base de datos Es decir, dice cuál es la base de datos que se utilizará.
Introducción a VHDL Agenda Un poco de historia Definiciones generales Entidades Arquitecturas Configuraciones.
DDL Unidad 2. Lenguaje estándar SQL El SQL es un lenguaje estándar de definición y manipulación (y consulta) de bases de datos relacionales. El SQL estándar.
Librerías y packages (paquetes)
Alumno: Nelson Domínguez, C.I.: Materia: Intr. A los Lenguajes de Programación Sección: SAIA Profesora: Olgamar Garrido CABUDARE, NOVIEMBRE 2010.
Structured Query Language (Lenguaje Estructurado de Consultas)
DSL – DOMAIN SPECIFIC LANGUAGES Juan Manuel Ramón Vigo Sergio Ruiz Pérez Fernando Vicente Vallejo.
Instrucciones para crear tablas My SQL. A nivel teórico, existen dos lenguajes para el manejo de bases de datos: DDL (Data Definition Language) Lenguaje.
SQL Sigla del nombre “Structured Query Language”.
INSTRUCCIONES Elaboración de la Presentación: 1.- COMPLETA en LA PRESENTACION EL TEMA SQL 2.- En el penúltimo punto, DE ACUERDO AL EXAMEN QUE TE TOCO EN.
Base de Datos I. SQL es el lenguaje estándar para trabaja con base de datos relacionales. MySQL, el sistema de gestión de bases de datos SQL Open Source.
Prof. De Bases de Datos: Lcdo. Luis Peña. El lenguaje más habitual para construir las consultas a bases de datos relacionales es SQL, Structured Query.
SQL Lenguaje Estructurado de Consulta MATERIA: diseñar sistemas de información ALUMNO: sarmiento flores Liliana Guadalupe GRUPO: 4° “A” TURNO: matutino.
Lenguaje SQL. Permite la definición de la base de datos mediante el lenguaje de definición de datos (DDL, Data Definition Lenguaje) Permite a los usuarios.
(Structured Query Language) Docente: Ing. Marleny Soria Medina 1.
Unidad TemáticaI. Conceptos Básicos Horas Prácticas10 Horas Teóricas8 Horas Totales18 Objetivo El alumno determinará las entradas, procesos y salidas.
Base de datos Francisco Castro. Creación de una tabla drop table TABLA cascade constraints; /*==============================================================*/
Colegio de Bachilleres Plantel 13 Xochimilco - Tepepan
Comandos DDL Los comandos DDL son las siglas de Data Definition Language, y se corresponde con el conjunto de órdenes que permiten definir las estructuras.
SQL El lenguaje de consulta estructurado o SQL (por sus siglas en inglés structured query language) es un lenguaje declarativo de acceso a bases de datos.
(Lenguaje de consulta estructurado)
INTEGRANTE: FLORES GODOY JUAN E. Grupo:308. Una tabla es una colección de datos sobre un tema específico, como productos o proveedores. Al usar una tabla.
Modificando la estructura de tablas
SQL Lenguaje Estructurado de Consultas. Structured Query Lenguaje (SQL). Lenguaje de acceso a bases de datos. Proyecto de Investigación de IBM. La mayoria.
Prof. Claudia Vides – 2015 – Presentación 1. Las bases de datos y los sistemas de bases de datos son un componente esencial en la vida cotidiana de la.
6 Triggers ORACLE - II Bases de datos II I-2014 Universidad del Cauca Ing. Wilson Ortega Bases de datos II I-2014 Universidad del Cauca Ing. Wilson Ortega.
ALTER TABLE MODIFICAR LA ESTRUCTURA DE LOS OBJETOS DE UNA BASE DE DATOS.
DML Transact SQL Sesión X Triggers. Duración 2 Horas.
DML Transact SQL Sesión IX Introducción a los procedimientos almacenados.
Implementación de funciones definidas por el usuario.
6 Triggers ORACLE Bases de datos II I-2014 Universidad del Cauca Ing. Wilson Ortega Bases de datos II I-2014 Universidad del Cauca Ing. Wilson Ortega.
1 LENGUAJE DE DESCRIPCION DE HARDWARE ELECTRONICA DIGITAL NAYIBE CHIO CHO NAYIBE CHIO CHO.
SQL Lenguaje de Consulta estructurado (structured query language) Es un lenguaje declarativo de acceso a bases de datos relacionales que permite especificar.
CONSIDERACIONES DE RUIDO EN SISTEMAS PCM ING. JAVIER GARCIA.
Hardware Description Language
Programación en VHDL.
Transcripción de la presentación:

DSL Domain Specific Language (Lenguaje Especifico del Dominio)

Definicion : Es un lenguaje de programación diseñado para dar solución a unas tareas especificas.un lenguaje de programación Diferente a lenguajes de propósito general como C o Java. Diseñados para resolver problemas difíciles y muy comunes.

Otros Nombres: También son conocidos como: Little Languages Application Languages Very High Level Languages

DSL Aunque parezca algo nuevo los DSL surgieron hace mucho (Cobol,Fortran…) Los DSL se han ido formando con el tiempo ha medida que se han ido haciendo necesarios.

Ventajas: Aumento de la productividad.Son mas precisos con lo que se trabaja mas rápido. Solución expresada en el idioma y el nivel de abstracción del dominio, con lo que los expertos pueden entender, validar y modificar los programas. Los programas son auto-documentados y pueden ser reutilizados. Pueden ser usados por gente que no son programadores.

Desventajas: Funcionamiento pobre ya que los lenguajes de alto nivel pueden ser ineficientes. Gastos de producción pueden ser elevados. Gastos de educación de los usuarios. Duración del desarrollo muy largo(2 a 5 años) A veces es difícil o imposible eliminar errores Perdida de eficacia vs software codificado a mano.

EJEMPLOS:SQL Lenguaje de acceso a base de datos relaciónales. Aúna álgebra y calculo relacional para lanzar consultas con fin de recuperar información. Sencillo de usar y de aprender.

SQL:Lenguaje CREATE: crea un objeto en la base de datos (tabla, vista, trigger…) CREATE TABLE TABLA_NOMBRE ( my_field1 INT UNSIGNED, my_field2 VARCHAR (50), my_field3 DATE NOT NULL, PRIMARY KEY (my_field1, my_field2) )

SQL:Lenguaje ALTER: Modifica la estructura de un objeto (agregar columna a una tabla): ALTER TABLE TABLA_NOMBRE ( ADD NUEVO_CAMPO INT UNSIGNED )

SQL:Lenguaje DROP: Elimina un objeto de la base de datos. DROP TABLE TABLA_NOMBRE ALTER TABLE TABLA_NOMBRE ( DROP COLUMN CAMPO_NOMBRE1 )

SQL:Programas Algunos programas basados en SQL son : DB2, Oracle, SQL Server, Sybase ASE, MySQL, PostgreSQL, Firebird...

EJEMPLOS:MAPLE Maple es un lenguaje de programación capaz de realizar cálculos simbólicos, algebraicos y de álgebra computacional.

MAPLE:Lenguaje

EJEMPLO:VHDL Lenguaje para diseñar circuitos digitales VHDL es el acrónimo que representa la combinación de VHSIC y HDL, donde VHSIC es el acrónimo de Very High Speed Integrated Circuit y HDL es a su vez el acrónimo de Hardware Description Language.

VHDL:Lenguaje Puede usarse de tres maneras: Funcional: como se comporta el circuito Estructural: por componentes Mixta: combiando las 2 anteriores

VHDL:Lenguaje library ieee; use ieee.std_logic_1164.all; entity rfc is port( clk_i : IN std_logic; -- control inputs reset_i : IN std_logic; enable_w_i : IN std_logic; enable_r1_i : IN std_logic; enable_r0_i : IN std_logic; din_w_i : IN std_logic; dout_r1_o : OUT std_logic; dout_r0_o : OUT std_logic ); end rfc; architecture funcional of rfc is signal d:std_logic; begin process (clk_i,reset_i) begin if reset_i = '1' then d <= '0'; elsif clk_i'event and (clk_i = '1') then if enable_w_i = '1' then d<= din_w_i; end if; end process; process (enable_r0_i,enable_r1_i,d) begin if enable_r0_i = '1' then dout_r0_o <= d; else dout_r0_o <= 'Z'; end if; if enable_r1_i = '1' then dout_r1_o<= d; else dout_r1_o <= 'Z'; end if; end process; end funcional; RFC:funcional

VHDL:Lenguaje library ieee; use ieee.std_logic_1164.all; ENTITY Register8 IS generic (retardo: time := 1 ns); port( clk_i : IN std_logic; -- control inputs reset_i : IN std_logic; enable_w_i : IN std_logic; enable_r1_i : IN std_logic; enable_r0_i : IN std_logic; din_w_i : IN std_logic_vector (7 DOWNTO 0); dout_r1_o : OUT std_logic_vector (7 DOWNTO 0); -- data outputs dout_r0_o : OUT std_logic_vector (7 DOWNTO 0) ); END Register8 ; architecture estructura of Register8 is component rfc port( clk_i : IN std_logic; -- control inputs reset_i : IN std_logic; enable_w_i : IN std_logic; enable_r1_i : IN std_logic; enable_r0_i : IN std_logic; din_w_i : IN std_logic; dout_r1_o : OUT std_logic; -- data outputs dout_r0_o : OUT std_logic ); end component; begin rfcs: for i in 0 to 7 generate puertas: rfc port map (clk_i,reset_i,enable_w_i,enable_r1_i,enable_r0_i,din_w_i(i),dout_r1_o(i),dout_r0_o(i)); end generate; end estructura REGISTRO 8 BITS

VHDL:Lenguaje Un ejemplo de programa que usa VHDL es ModelSim

OTROS DSL’s HTML: HyperText Markup Language (lenguaje de marcas hipertextuales). Open GL (graficos 3D). Csound(crear, editar, analizar y componer música y sonido )basado en C.componer músicasonido